EDA程式設計 洗衣機控制器設計

Mondo 教育 更新 2024-02-01

事由:

設計乙個洗衣機控制器,要求洗衣機具有三種狀態:前進、後退和暫停。 設定洗衣機的工作時間,使洗衣機在工作時間內完成:定時向前旋轉20秒開始,暫停10秒,倒車20秒,暫停10秒,返回“向前旋轉20秒,暫停10秒......當計時器到達時,它會同時停止並響起。

基本要求: 1、設計電子定時器控制洗衣機如下** 定時啟動 向前旋轉 20 秒 暫停 10 秒 倒車 20 秒 暫停 10 秒 時間還沒到,回到“向前旋轉 20 秒 暫停 10 秒 ......時機成熟時停下來;

2.如果它在正確的時間到達,它將停止並發出音訊訊號;

3、使用兩個數碼管顯示預設的洗滌時間(分鐘),並按倒計時模式顯示洗滌過程,直到時間停止; 洗滌過程以“開始”訊號開始;

4. 三個 LED 燈指示三種狀態:“正向旋轉”、“反向旋轉”和“暫停”。

一、整體設計思路

理由

洗衣機控制器的設計主要是定時器的設計。 它由FPGA和構成電氣控制部分的外圍電路組成。 FPGA接收鍵盤的控制命令,控制洗衣機的進水、排水、水位和工作狀態,控制工作狀態的顯示,設定直流電機轉速、正反轉控制、制動控制、啟停控制和運動狀態控制。 晶元的程式設計採用模組化VHDL(Hardware Description Language)設計,設計分為三層實現,頂層實現整個晶元的功能。 頂層和中間層主要由 VHDL 的元件例項化語句實現。 中間層由直流電機控制板的無刷直流電機控制、執行模式選擇、洗滌模式選擇、定時器、顯示控制、鍵盤掃瞄、水位控制、速度設定、正反轉控制、啟停控制等模組組成,分別呼叫底層模組。

編輯。

編輯。

use ieee.std_logic_1164.all;entity encode is port( bcd : in std_logic_vector(3 downto o); a,b,c,d,e,f,g: out std_logic );end encode;architecture rtl of encode issignal temp:std_logic_vector(6 downto 0);begin
該資訊基於此

相關問題答案

    小程式設計,簡化生活,創造便利!

    小程式設計,簡化生活,創造便利!副標題 小程式設計的基本原理和特點。小標題 小程式的定義及發展趨勢。在移動網際網絡時代,小程式已成為使用者獲取資訊 購物娛樂 社交交流的重要工具。小程式是執行在移動裝置上的應用,通過微信 支付寶等平台提供服務。與傳統應用相比,小程式無需直接執行,節省使用者和儲存空間。...

    洗衣機洗滌程式的選擇和設定

    洗衣機已經成為家庭生活中不可缺少的電器之一,它為我們節省了大量的時間和精力。但是,如何正確選擇和設定洗衣機的洗滌程式以達到最佳的洗滌效果,是許多使用者關心的問題。本文將為您提供有關如何為洗衣機選擇和設定洗滌程式的詳細答案。一 洗滌程式的選擇。一般來說,全自動洗衣機具有自動洗滌 漂洗和脫水功能。選擇洗...

    波浪輪洗衣機和滾筒洗衣機哪個更好?4 實用建議

    雙購物節即將到來,對於很多家庭來說,購買家用洗衣機是熱門選擇之一。而在選擇洗衣機的時候,很多人會猶豫不決,不知道該選擇滾筒洗衣機還是波浪輪洗衣機。那麼,滾筒洗衣機和波浪輪洗衣機到底有什麼區別呢?滾筒洗衣機以其獨特的洗滌方式而備受關注,它採用滾筒設計,通過不斷的旋轉和摩擦,深入到衣物的織物中,徹底清潔...

    什麼牌子的洗衣機價效比最高,哪個牌子的洗衣機質量好

    什麼牌子的洗衣機價效比最高?家用洗衣機作為現代家庭的必備用具,已經深入人心。它給我們帶來了極大的便利,使繁瑣的家務變得輕鬆簡單。如今,市場上的家用洗衣機品牌和型號眾多,令人眼花繚亂。為了幫助您在眾多產品中選擇最適合您需求的洗衣機,本文將為您推薦幾款價效比高的家用洗衣機。讓我們來看看這些產品 二 洗衣...

    海爾洗衣機質量如何,購買海爾洗衣機時選擇什麼型號

    海爾洗衣機質量如何?海爾洗衣機質量如何。現代生活節奏快,時間寶貴,因此家用電器的質量成為購買時的重要考慮因素。特別是洗衣機作為家庭中必不可少的電器之一,直接影響著我們的生活質量。那麼,海爾洗衣機的質量如何呢?首先,從品牌實力來看,海爾作為國內家電行業的知名品牌,擁有雄厚的研發實力和生產技術。多年來,...